CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl rs232

搜索资源列表

  1. VHDL实现RS232串口通信源码

    0下载:
  2. QUARTUS2下VHDL实现串口通信的源码,整个工程分四个模块:顶层,波特率产生模块,发送模块,接受模块。
  3. 所属分类:源码下载

    • 发布日期:2011-06-30
    • 文件大小:2464
    • 提供者:lkac13
  1. RS232串口通信协议

    0下载:
  2. RS232串口通信协议,verilog实现,通过FPGA完全调通。,RS232 serial communication protocol, verilog achieved entirely through the FPGA transfer pass.
  3. 所属分类:VHDL编程

    • 发布日期:2016-01-25
    • 文件大小:3536
    • 提供者:dingsheng
  1. rs232

    0下载:
  2. 完整的RS232 Verilog源代码,支持波特率可调,支持调试命令,配合串口调试工具,可作为FPGA开发中的调试平台。-Full RS232 Verilog source code, support for baud rate is adjustable to support debugging command, with the serial debugging tools can be used as the debugging FPGA development platform.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:13350
    • 提供者:弘历
  1. rs232

    0下载:
  2. fpga的串口读写程序,经硬件测试成功,波特率9600.可以改变分频值适应不同的时钟和波特率-fpga serial read and write procedures, by the hardware to test the success of 9600 baud rate. frequency value can be changed to adapt to a different clock and baud rate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:383623
    • 提供者:cjy
  1. RS232.VHDL

    1下载:
  2. RS232 Communication function in VHDL for Spartan 3E
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2013-08-08
    • 文件大小:612
    • 提供者:Tony Tan
  1. rs232

    0下载:
  2. rs232 interface for xilinx spartan 3e
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-04
    • 文件大小:8447
    • 提供者:MILIND
  1. RS232_pro

    0下载:
  2. RS232 verilog coding 全参数化设计 可以自己设定波特率 时钟频率等 完全FPGA实现调通-RS232 verilog coding the entire parametric design can set the baud rate clock frequency of FPGA to achieve complete transfer pass
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:2625
    • 提供者:dinsh
  1. top

    0下载:
  2. RS232串行通信,采用VHDL编程,由波特率发生器,接收器和发送器构成-RS232 serial communication using VHDL programming, by the baud rate generator, receiver and transmitter constitute
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1476
    • 提供者:幸运
  1. 07_rs232_echo

    0下载:
  2. Controller RS232 in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2440
    • 提供者:darek
  1. Rs232Rxd

    0下载:
  2. Rs232 Receiver VHDL code
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:1113
    • 提供者:mohd
  1. VHDL

    0下载:
  2. 数码管显示,温度传感,红外感应,流水灯蜂鸣器,PS2,RS232的相关VHDL程序,已经在MAX-IIEPM570开发板上测试成功-Digital display, temperature sensor, infrared sensor, water lights buzzer, PS2, RS232 relevant VHDL procedures have been developed at MAX-IIEPM570 the success of on-board test
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:8861
    • 提供者:刘运学
  1. uart_zhiwen

    0下载:
  2. RS232的UART编程,包括波特率发生器模块,串口接受模块,串口发送模块-RS232 programming the UART, including the baud rate generator module, serial module to receive, send serial module
  3. 所属分类:Com Port

    • 发布日期:2017-04-03
    • 文件大小:109980
    • 提供者:zhangyi
  1. RS232_Controller

    0下载:
  2. This project is a RS232 Controller used to communicate two devices.
  3. 所属分类:Com Port

    • 发布日期:2017-04-01
    • 文件大小:506360
    • 提供者:Arley
  1. RS232

    0下载:
  2. 关于RS232的VHDL程序,具体是什么还不是太清楚,不过程序还是可以借鉴-RS232 on the VHDL program, specifically what is not too clear, but the procedure can still learn from
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:300116
    • 提供者:y
  1. RS232

    0下载:
  2. 详细的Rs232接口设计思路,通讯规则,及详细代码-Rs232
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-02
    • 文件大小:123761
    • 提供者:ZC
  1. RS232

    0下载:
  2. a good example of rs232 communication based on hdl language
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-02
    • 文件大小:844174
    • 提供者:hao3361
  1. RS232

    0下载:
  2. RS232的FPGA通讯程序,用的是VHDL语言写的,非常好用-RS232 communication program of the FPGA, using the VHDL language, very easy to use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:365471
    • 提供者:无名氏
  1. rs232

    0下载:
  2. 通过FPGA实现串口通信,结果在超级终端可见-Serial communication through the FPGA, the result can be seen in the HyperTerminal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:640806
    • 提供者:chengliu
  1. rs232

    0下载:
  2. 这是cpld,EPM240数据通信rs232程序,希望与大家分享-This is cpld, EPM240 data communication rs232 procedure, hoping to share with you
  3. 所属分类:Com Port

    • 发布日期:2017-03-29
    • 文件大小:132427
    • 提供者:蓝风
  1. uart

    0下载:
  2. RS232控制分频,实现占空比和频率可以控制的分频器-verilog RS232
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:80161
    • 提供者:cuiqiang
« 12 3 4 5 6 7 »
搜珍网 www.dssz.com